半导体网站(半导体设备及材料行业深度研究报告)

(报告出品方/作者:国盛证券,郑震湘、佘凌星、刘嘉元)七、测试设备:用于测试晶圆片及成品半导体测试包括晶圆允收测试(WAT)、晶圆检测(CP)、成品测试(FT) 。WAT 环 节涉及测试机、分选机、探针台;CP 由测试机、探针台搭配完成;FT 涉及测试机、分 选机搭配完成。 晶圆检测(CP)是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对 晶圆上的裸芯片进行功能和电参数测试。 成品测试(FT)是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成 后的芯片进行功能和电参数测试。测试机行业面临的测试任务日益复杂,测试机的测试能力和配置需求都在提高。随着 集成电路管脚数增多、测试时间增长,包括华峰测控在内的测试机企业越来越多地采用 多工位并测的方案来降低测试时间,推出测试覆盖面更广、资源更多的测试设备,不断 提高测试系统的可靠性和稳定性,以降低客户平均到每颗器件的测试成本。 测试技术要求不断提高。测试产品技术发展趋势主要包括:(1)并行测试数量和测试 速度的要求不断提升;(2)功能模块需求增加;(3)对测试精度的要求提升;(4)要 求使用通用化软件开发平台;(5)对数据分析能力提升。半导体测试设备市场呈现寡头垄断格局。集成电路检测在测试精度、速度、效率和可 靠性等方面要求高。全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业 发达国家厂商手中,市场格局呈现泰瑞达、爱德万、科休、科利登等四家厂商寡头垄断。 各家厂商在检测设备侧重点也有所区别,如泰瑞达(Teradyne)主要产品为测试机,爱 德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机, 东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中 艺主要产品为分选机。爱德万和泰瑞达在全球测试设备合计市场份额达到 70%以上。 华峰测控——国内半导体测试设备龙头,SoC 及大功率测试逐步突破。公司是一家聚 焦模拟和混合信号测试设备企业,主要面向集成电路封测、晶圆制造和集成电路设计企 业等客户。公司主要产品为半导体自动化测试系统和测试系统配件,公司的测试系统包 括 STS8200 系列、STS8250 系列和 STS8300 系列;测试系统配件主要包括浮动 V/I 源 表、时间测量、数字测量、及电器控制、交流 V/I 源表等关键测试模块。公司已成长为国内最大的半导体测试系统本土供应商,也是为数不多进入国际封测市 场供应商体系的中国半导体设备厂商。目前全球半导体专用设备生产企业主要集中于欧 美和日本等,中国半导体专用设备自给率低。为推动我国半导体专用设备制造的技术升 级。公司通过承担 02 专项自主研发的 STS 8200 模拟器件测试系统、高端模拟混合电 路测试系统已得到客户认可和使用。 新产能顺利释放,加大新产品布局投放。2021 年 9 月,公司天津产业基地正式启用。 随着新基地的投入使用,公司产能瓶颈问题将得到大幅解决,并为公司研发、生产能力 更上一台阶奠定基础。公司持续推出新产品、新测试模块,以适应于 SoC、GaN、大功 率测试等更多增量需求,进一步拓宽测试能力。长川科技——半导体测试设备优质标的,技术研发实力强劲。公司主要产品为测试机、 分选机、探针台和 AOI 设备及自动化设备,主要面向集成电路封测、制造、设计企业等 客户。公司的测试机包括大功率测试机(CTT系列)、模拟/数字混合测试机(CTA系列); 分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q 系列)、 平移式分选机(C6、C7R 系列)等。整合 AOI 检测优质标的,技术与客户互补效应强。长川科技于 2019 年收购 STI,STI 是研发和生产为芯片以及 wafer 提供光学检测、分选、编带等功能的集成电路封装检测 设备商。STI 的主要产品为 AT468 机台、Hexa 机台、iSort 机台及 iFocus 机台四种型号 高精度光学检测设备,面向市场包括传统封装、BGA、QFN、有引线封装、晶圆级封装 等封装测试市场。技术研发方面,STI 的 2D/3D 高精度光学检测技术(AOI)位于行业 前列,长川科技于 STI 通过深入研发合作,STI 可为公司探针台等产品在光学领域技术 难题的突破提供有力支持,客户方面,STI 与 TI、安靠、三星、日月光、美光、力成等 多家全球领先的 IDM 及封测厂建立长期稳定合作关系,为长川科技进入国际知名半导 体企业的供应体系提供有力支持。八、化学机械抛光:全局纳米级平坦化CMP 设备工艺复杂、研制难度大,为集成电路工艺流程中使用的主要设备之一。芯片 制造主要包括光刻、CMP、刻蚀、薄膜和掺杂等关键工艺技术,其中 CMP 是在芯片制 造制程和工艺演进到一定程度、摩尔定律因没有合适的抛光工艺无法继续推进之时才诞 生的一项新技术。CMP 设备主要用于单晶硅片制造和芯片制造前道工艺,依托 CMP 技 术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化——全局平整落差 5nm 以内的超高平 整度,CMP 设备集摩擦学、表/界面力学、分子动力学、精密制造、化学/化工、智能控 制等多领城最先进技术于一体,工艺复杂。CMP 设备结合机械抛光和化学抛光长处,在超大规模集成电路中有广泛应用。CMP 的 主要检测参数包括研磨速率、研磨均匀性和缺陷量。研磨均匀性又分为圆片内研磨均匀 性和圆片间研磨均匀性。对于 CMP 而言,主要的缺陷包括直接影响产品的成品率的表 面颗粒、表面刮伤、研磨剂残留等。传统的机械抛光和化学抛光去除速率均低至无法满 足先进芯片量产需求, CMP 技术利用了磨损中的“软磨硬”原理,综合两者优势,避 免了由单纯机械抛光造成的表面损伤,即用较软的材料来进行抛光以实现高质量的表面 抛光,将化学腐蚀和机械研磨作用达到一种平衡,最终实现晶圆表面的超高平整度。未 经加工的原料晶圆裸片的表面凹凸不平,无法直接在上面印制电路图形。因此,需要先 通过研磨和化学刻蚀工艺去除表面瑕疵,然后通过抛光形成光洁的表面,再通过清洗去 除残留污染物,即可获得表面整洁的成品晶圆。因而,CMP技术为后续重复进行光刻、 刻蚀、薄膜和掺杂等关键工艺提供了重要的基础。CMP 设备功能的实现需要抛光、清洗、传送三大模块组合作业。10nm 的全局平整度 要求,相当于 44 万平方米面积中任意两点的高低差不超过 0.03 毫米、表面粗糙度小于 0.5nm,作业过程中,抛光头将晶圆待抛光面压抵在粗糙的抛光垫上,借助抛光液腐蚀、 微粒摩擦、抛光垫摩擦等耦合实现全局平坦化。抛光盘带动抛光垫旋转,通过先进的终 点检测系统对不同材质和厚度的膜层实现 3~10nm 分辨率的实时厚度测量防止过抛。 抛光头用于全局分区施压,其在限定的空间内对晶圆全局的多个环状区域实现超精密可 控单向加压,从而可以响应抛光盘测量的膜厚数据调节压力控制晶圆抛光形貌,使晶圆 抛光后表面达到超高平整度的控制。制程线宽不断缩减、抛光液配方愈加复杂均加大了 清洗的难度,对清洗后的颗粒物数量要求也指数级降低,需要 CMP 设备中清洗单元在 满足清洁效果的同时保证晶圆表面极限化微缩的特征结构不被破坏。研磨材料更加丰富,CMP 设备升级需求增加。90~65nm 节点,随着铜互连技术和绝 缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。 28nm 后,逻辑器件的晶体管中引入高 k 金属栅结构(HKMG),从而推动了虚拟栅开口 CMP 工艺和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进 的制程节点发展至 7nm 以下时,芯片制造过程中 CMP 的应用在最初的氧化硅 CMP 和 钨 CMP 基础上新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升级需求。抛光、清洗模块有定期维护更换需求,带动 CMP 设备厂商技术服务收入不断提升。 CMP设备属于集成电路设备中使用耗材较多、核心部件有定期维保更新需求的制造设备 之一。CMP利用机械力作用于圆片表面,由研磨液中的化学物质与圆片表面材料发生化 学反应来增加其研磨速率,首先让研磨液填充在研磨垫的空隙中,圆片在研磨头带动下 高速旋转,与研磨垫和研磨液中的研磨颗粒发生作用,此时需要控制研磨头下压力等其 他参数。CMP工艺中最重要的两大组成部分是研磨液和研磨垫。晶圆厂需要更换设备外 部的抛光液、抛光垫等,同时需要对设备内部长时间运行磨损的抛光头、清洗等单元进 行定期维保更新,且设备配套服务需求会随着厂商销售设备数量的增加而快速增长。因 此 CMP 设备厂商在设备出货后,将向客户提供专用耗材销售和关键耗材维保等技术服 务,随之实现有长期稳定和高盈利能力的服务收入。中国大陆 CMP 设备市场规模第一,海外龙头仍占据大份额。2018 年全球 CMP 设备市 场规模约 18.4 亿美元 2013-2018 年 CAGR 20.1%。 2019 年受全球半导体景气度下滑 影响,全球 CMP 设备市场规模略有下滑,2020 年市场规模迅速回升至 15.8 亿美元, 同比增长 5.8% 。其中中国大陆市场规模已跃升至全球第一 ,达到 4.3 亿美元,市场份 额 27%。从市场格局来看,应材、日本荏原在全球占主导地位,2020 年两家合计市占 率超过 93%。华海清科 CMP 设备填补国内空白,产品广泛应用于国内外大生产线。公司于 2013 年 4 月成立,主要产品为先进集成电路制造前道工序、先进封装等环节必需的化学机械抛光 (CMP)设备,是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商业机型的高 端半导体设备制造商。公司的 CMP 设备总体技术性能已达到国内领先水平,已实现在 国内外知名客户先进大生产线的产业化应用,在逻辑芯片、3D NAND、DRAM 制造等领 域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,均为当前国内大生产线的 最高水平。公司研制的 CMP 设备集先进抛光系统、终点检测系统、超洁净清洗系统、 精确传送系统等关键功能模块于一体,其内部高度集成的关键核心技术数十项,所产主 流机型已成功填补国内空白,打破了国际巨头在此领域数十年的垄断。8 英寸、12 英寸系列 CMP 设备均已实现产业化应用。公司 12 英寸系列 CMP 设备 (Universal 300 型、Universal 300 Plus 型、Universal300 Dual 型、Universal-300X 型) 在国内已投产的 12 英寸大生产线上实现了产业化应用,截至 2021 年底累计已量产晶圆 超 1,300 万片;8 英寸系列 CMP 设备(Universal-200 型、Universal-200 Plus 型)已在 国内集成电路制造商中实现了产业化应用,主要用于晶圆制造、MEMS 制造及科研攻关 等领域。截至 2021 年末,公司已发出未验收结算的 CMP 设备 69 台,未发出产品的在 手订单超过 70 台。长江存储 2019~2020 年共招标化学机械抛光设备 62 台,其中华海清科中标 22 台,应 用材料中标 40 台。分具体产品来看,华海清科中标的 22 台设备中,氧化硅化学机械抛 光机 9 台,层间介质层化学机械抛光机 6 台,晶圆硅面化学机械抛光机 6 台。应用材料 中标的 40 台设备包括铜化学机械抛光机 20 台,前段钨化学机械抛光机 13 台,晶圆硅 面化学机械抛光机 2 台,多晶硅化学机械抛光机 2 台,氧化硅化学机械抛光机 1 台,浅 槽隔离化学机械抛光机 1 台。九、半导体材料:晶圆厂持续扩产,材料拐点已至9.1 晶圆代工扩产拉动材料需求持续增长2021 年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在 2030 年超过万亿美元市场。从需求端来看,以汽车、工业、物联网、5G 通讯等代表 的需求驱动驱动全球半导体产业进入“第四次半导体硅含量提升周期”。根据 SEMI, 2021 年全球半导体产值有望超过 5500 亿美元,达到历史新高,且在 2022 年根据 SEMI 对于行业资讯机构的统计,平均对于 2022 年的增长预期将达到 9.5%,即 2022 年市场 规模有望突破 6000 亿美元(此为平均值)。此外随着全球 8 寸及 12 寸晶圆新产能逐步 的在 2022 年至 2024 年的投放,至 2024 年全球将会有 25 家 8 寸晶圆厂投产,60 座 12 寸晶圆厂投放。随着该 85 座晶圆厂的投放,至 2030 年全球半导体晶圆市场将有望达到 万亿美元市场,实现年复合增长率约 7%。2021 年全球半导体材料市场规模创新高,中国大陆需求占比 18.6%。根据 SEMI,强 劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9% 达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239 亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜 环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超 过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对 较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺 寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技 术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加 到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功 能性等都提出了严苛的需求。在全球半导体材料的需求格局之中,中国大陆从 2011 年的 10%的需求占比,至 2021 年已经达到占据全球需求总量的 18.6%,仅次于中国台湾(22.9%),位列全球第二。 随着整个半导体产业的持续增长,以及中国大陆不断新建的代工产能,我们有望看到中 国大陆半导体市场规模增速将会持续超越全球增速的同时,攀登至全球需求第一的宝座。半导体材料国产化率仍待转化。在国家产业政策大力扶持和国内半导体市场稳定增长等 利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领 域将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代, 打破国外厂商的垄断。半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片, 每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体 材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同 半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。9.2 各类材料持续持续突破,业绩佐证国产替代正式开幕随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非 常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链 逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。除了以上我们节选的部分半导体及电子材料厂商对于中国卡脖子关键材料的替代以外, 还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占 比最大的半导体硅片,再到被美国高度垄断的CMP(抛光液及抛光垫)材料,均都实现 了一定的技术突破,在不同的实现果实的收获。十、光刻胶:产品逐步突破,国产替代已开启光刻胶做为半导体生产中光刻工艺的核心材料,其主要工作原理是:光刻工艺利用光刻 胶对于各种特殊射线及辐射的反应原理,将事先制备在掩模上的图形转印到晶圆,建立 图形的工艺,使硅片表面曝光完成设计路的电路图,做到分辨率清晰和定位无偏差电路, 就如同建筑物一楼的砖块砌起来和二楼的砖块要对准,叠加的层数越高,技术难度大。 从光刻胶的发展历程看,20 世纪 50 年代至今,光刻技术经历了紫外全谱(300- 340nm),G线(436nm),I线(365nm),深紫外(Deep Ultraviolet,DUV,248nm和 193nm),以及目前最引人注目的极紫外(EUV,13.5nm)光刻,电子束光刻等六个阶 段,随着光刻技术发展,各曝光波长的光刻胶组分(成膜树脂、感光剂和添加剂等)也 随之变化。根据反应机理和显影原理,可以将光刻胶分为正性光刻胶和负性光刻胶。正性光刻胶形 成的图形与掩膜版(光罩)相同,负性光刻胶显影时形成的图形与掩膜版相反。根据感 光树脂的化学结构,光刻胶可分为光聚合型,光分解型和光交联型。根据应用领域,光 刻胶可以分为 PCB 光刻胶、面板光刻胶和半导体光刻胶。(报告来源:未来智库)从组成成分来看,光刻胶主要由成膜树脂、光敏物质、溶剂和助剂组成。其中,成膜 树脂是光刻胶的主要成分。树脂:光刻胶的主要原料,具备光敏性和能力敏感的特殊聚合物,一般是由碳、氢 和氧组成的大分子。经光照后在曝光区能很快地发生固化反应,溶解性、亲和性等 发生明显变化,用适当的溶剂处理就可以得到图像。随着制程的提升、曝光波长的 缩小,光刻胶中树脂的成本不断提升,对光刻胶的性能有重要影响。CAR 作用原理:在感光组成物中加入光致产酸剂,光致产酸剂(Photoacid Generator,PAG) 在光照射下生成酸,酸作为催化剂催化光刻胶树脂发生反应, 通过化学方法将光学信号进行放大,解决光刻胶的感光效率问题。同时,使用聚对 羟基苯乙烯作为主要的成膜树脂,解决了在 248 nm 波长下的透光性的问题。缺点: 曝光中产生酸,容易污染镜头,并且后烘过程中产生的 H + 扩散造成线边粗糙度的 增加。g 线/i 线光刻胶:主要用酚醛树脂和重氮萘醌体系,酚醛树脂为成膜树脂、重氮萘 醌为感光剂,曝光后二者反应的部分易于溶于显影剂被去除。添加剂:在正型 i-线 光刻胶中加入添加剂可以提高抗刻蚀性能、感光性能等。KrF 光刻胶:光源强度大大降低,对光刻胶敏感性提出极高要求,IBM 通过化学放 大光刻胶(Chemically Amplified Resists,CARs,也叫化学增幅胶),提高光刻胶感 光度。ArF 光刻胶:聚甲基丙烯酸酯类型的光刻胶,随着光刻制程不断降低,光刻胶的胶 膜厚度也需要不断下降,尤其是在 193 nm 光刻工艺基础上,发展了 193 nm 浸没 式光刻以及多重曝光的技术以提升光刻胶的分辨率,这使得光刻胶的抗刻蚀性能需 要进一步提升(聚甲基丙烯酸酯类型的光刻胶抗腐蚀性能低)。行业壁垒高耸,研发能力要求极高,资金需求巨大。在上述我们也对众多光刻胶进行 了简单的分类,但实际操作中由于各个客户的产品的要求不同,对应的光刻胶的具体需 求料号众多。这一点将会直接导致光刻胶企业在生产制作光刻胶的时候需要具备足够的 配方研发能力,对众多国内仍在起步的厂商无疑是个巨大的挑战。另一方面由于光刻胶 最终需要应用在光刻机上,以 ASML 为例,EUV 光刻机常年保持在 1 亿欧元左右, 248nm 的 KrF 光刻机也基本维持在一千万欧元以上。 从光刻胶全球市场来看,根据 Cision,2019 年约有 91 亿美元的市场规模,至 2022 年 预计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类主要的 应用场景分别占据了市场空间的 24.1%、26.6%、及 24.5%,分别对应 2019 年的市场 规模 22 亿美元、24 亿美元、及 22 亿美元。Cision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022 年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用 场景分布来看,在中国大陆所需要的半导体、LCD、及PCB的市场需求分别将达到21、 23、22 亿元人民币。 248nm及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019年中 国光刻胶市场规模在 158 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币; 至 2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达 到 25 亿人民币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以 及制程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在 2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25 亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善, 晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时, 我们有望看到中国半导体光刻胶需求的持续高增长。虽然中国市场增速巨大,但是从产业端来看,全球共有 5 家主要的光刻胶生产企业。其 中,日本技术和生产规模占绝对优势。而其中在半导体光刻胶中,占据主导位置的还是 以日美两国为主。 国产光刻胶份额:受益于半导体、显示面板、PCB 产业东移的趋势,根据雅克科技,自 2011 年至今,光刻胶中国本土供应规模年华增长率达到 11%,高于全球平均 5%的增 速。根据智研咨询,2019 年中国光刻胶市场本土企业销售规模约 70 亿元,全球占比约 10%,发展空间巨大。目前,中国本土光刻胶以 PCB 用光刻胶为主,平板显示、半导 体用光刻胶供应量占比极低。中国半导体光刻胶的占比仅有 2%,LCD 仅为 3%,而最 为简单 PCB 光刻胶占比高达 94%。整体来看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理 占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域, 前六大家(主要以日本为主)实现了对于市场的 87%的占据。国产半导体光刻胶的发展速度远远慢于其他产业,原因在于: 1、 光刻胶的验证周期长。光刻胶批量测试的 过程需要占用晶圆厂机台的产线时间, 在产能紧张的时期测试时间将会被延长。测试的过程需要与光刻机、掩膜版及 半导体制程中的许多工艺步骤配合,需要付出的成本极高。通常面板光刻胶验 证周期为 1-2 年,半导体光刻胶验证周期为 2-3 年。但是验证通过之后便会形成 长期供应关系,甚至在未来会推动企业之间的联合研发。 2、 原材料成膜树脂具有专利壁垒。树脂的合成难度高,通常光刻胶厂商在合成一 种树脂后会申请相应的专利,目前树脂结构上的专利主要被日本公司占据。3、 光刻胶产品品类多,配方需要满足差异化需求。根据产品需求来调配适合的树 脂来满足差异化需求对于光刻胶企业是一大难点,也是光刻胶制造商最核心的技术。以 TOK 为例,通过其产品系列可以看出,根据关键尺寸、应用层、正负 胶等划分,可以分为几十个系列。彤程新材持续推出新产品,KrF光刻胶方面, 公司产品种类涵盖 Poly、AA、Metal 等关键层工艺以及 TM/TV、Thick、Implant、 ContactHole 等应用领域,2021 年公司新增 21 支新产品通过客户验证并获得订 单,其中248nm光刻胶10支,I线光刻胶9支,LED及先进封装用光刻胶2支。复盘半导体光刻胶发展历程,我们总结出以下结论:1)光刻胶与工艺节点发展息息相关。光刻胶合成工艺的演进是基于制程的不断推进, 在研发过程中需要与光刻机厂商密切合作。日本光刻胶从开始的模仿到在 KrF 胶实现超 越,背后是半导体产业链向日本转移、日本政府对半导体产业链的大力扶持和日本光刻 机厂商的崛起。当前背景下,先进节点技术开发速度有所放缓,国内半导体产业发展, 国产化需求为中国企业带来发展机遇。2)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精 细化工的产物相同。TOK 最早切入,主要系本土客户培养本土供应链的需求;JSR 的切 入背景是 1970 年代石油危机下化工企业利润空间受到挤压,从而寻求第二增长曲线; 信越从硅化工业务切入是因为 1990s 日本国内经济衰退,公司基于原有主营业务寻求协 同发展。彤程新材是全球最大的轮胎用特种材料供应商,生产和销售的轮胎用高性能酚 醛树脂产品在行业内处于全球领导者地位。电子级酚醛树脂对产品纯度要求非常高,在 KrF 光刻胶中,树脂占总成本的超过 70%,且在生产树脂中需要保证不同批次的高分子 树脂的分子量分布和性能都相差无几,故而成膜树脂的合成难度最高。目前彤程新材已 成功自主开发电子级酚醛树脂,在光刻胶、环氧塑封料、覆铜板等领域均有布局,并通 过部分客户的认证,开始批量供应。3)光刻胶公司与下游客户绑定紧密,同时布局光刻胶配套材料,客户粘性强。光刻胶 存在一定的先发优势,但技术壁垒并不至于无法突破,找到体系内符合要求的基团也可 实现突破。但光刻胶对半导体生产过程的稳定性至关重要,验证周期长,下游客户不会 轻易更换。提升份额的途径在于打入客户新增产能供应链,以及配套材料的研发销售, 如 TOK 生产显影剂和边缘去除剂,JSR 生产底部抗反射涂料,信越生产石英掩模毛胚和 硅抗反射涂层。彤程新材 I 线光刻胶和 KrF 光刻胶以批量供应于中芯国际、华虹宏力、 长江存储、华力微电子、武汉新芯、华润上华等 13 家 12 寸客户和 17 家 8 寸客户,同 时公司 2 万吨光刻胶相关配套试剂项目,已进入洁净间及机电设备的安装高峰,预计 2022 年 6-7 月份能完成全部建设,将在下半年开始进入试生产。由贸易至上游制造,多元拓展丰富业务,打造平台型企业。彤程新材自 1999 年成立, 主要从事橡胶助剂商贸代理业务,在 2006 年逐步转型上游制造,且直至现在,公司已 经开拓了多个研发测试中心且投建多个国际化标准的生产基地;同时在 2019 年至今, 公司再次开拓电子材料业务及可降解材料业务,实现公司初步战略“一体两翼、三大业 务”的布局。 向上游延伸布局,研发实力及盈利能力齐升。公司当前积极布局光刻胶(IC+面板)上 游,自下而上的产业链整合一方面大幅提高公司光刻胶研发实力,还将提升公司利润水 平,实现卡脖子材料突破的同时盈利丰厚。电子材料、可降解材料、及汽车/轮胎特种 材料,彤程新材横向在专业范围内进行多品类拓展,纵向整合产业链上下游,已初步实 现新材料平台战略。多业务同步成长及拓展,打造平台化目标。复盘全球化学平台型龙头信越化学的成长, 信越化学自始至终都坚持多元发展、产品/销售/发展三位一体、以及使用最核心的技术 实现上下游全链条打通的战略进行发展及成长。而彤程新材当前也实现了三大业务的并 驾齐驱,且与信越化学样坚持上下游整合,基于同源技术的横纵拓展,以及有产品终端 向上反溯的基因,因此我们认为彤程新材同样有望成为中国内的大型平台型厂商。十一、CMP:突破重围,国产化启动CMP 化学机械抛光(Chemical Mechanical Polishing)工艺是半导体制造过程中的关键 流程之一,利用了磨损中的“软磨硬”原理,即用较软的材料来进行抛光以实现高质量 的表面抛光。通过化学的和机械的综合作用,从而避免了由单纯机械抛光造成的表面损 伤和由单纯化学抛光易造成的抛光速度慢、表面平整度和抛光一致性差等缺点。化学机械抛光采用将机械摩擦和化学腐蚀相结合的工艺: 化学腐蚀 – 抛光液:首先是介于工件表面和抛光垫之间的抛光液中的氧化剂、催化剂 等于工件表面材料进行化学反应,在工件表面产生一层化学反应薄膜; 机械摩擦 – 抛光垫:然后由抛光液中的磨粒和由高分子材料制成的抛光垫通过机械作 用将这一层化学反应薄膜去除,使工件表面重新裸露出来,然后再进行化学反应。 整个过程是化学作用与机械作用的交替进行,最终完成对工件表面的抛光,速率慢者控制抛光的速率。CMP包括三道抛光工序,主要运用到的材料包括抛光垫、抛光液、蜡、陶瓷片等。不同 工序根据目的的不同,分别需要不同的抛光压力、抛光液组分、pH 值、抛光垫材质、 结构及硬度等。CMP 抛光液和 CMP 抛光垫是 CMP 工艺的核心要素,二者的性质影响 着表面抛光质量。而在 CMP 环节之中,也存在着各式不同的类别,例如钨/铜及其阻挡 层、铝、STI、ILD 等。 集成电路工艺技术的每一次精进,都伴随着 CMP 技术的不断深入。随着摩尔定律的延 续,当制造工艺不断向先进制程节点发展时对 CMP 技术的要求相应提高、步骤也会不 断增加,CMP 设备首先应用于 1988 年 IBM 公司 4M DRAM 芯片的制造,此后随器件特 征尺寸(CD)微细化、多层布线和新型材料出现,CMP 技术的重要性不断凸显,首先 用于后道工艺金属间绝缘介质(IMD)层的平坦化,之后用于金属钩(W)的平坦化, 近年来又用于浅沟槽隔离(STI)和铜(Cu)的平坦化。 STI(Shallow Trench Isolation)即浅沟槽隔离层,他的作用主要是用氧化层来隔开各个门 电路(Gate),使各门电路之间互不导通。STI CMP 这就是将晶圆表面的氧化层磨平,最终 正好使 SIN 暴露出来。Oxide CMP包括了 ILD CMP及 IMD CMP,主要是将氧化硅(Oxide) 磨平至一定厚度,实现平坦化。研磨材料更加丰富,CMP 需求增加。90~65nm 节点,随着铜互连技术和绝缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。28nm 后, 逻辑器件的晶体管中引入高 k 金属栅结构(HKMG),从而推动了虚拟栅开口 CMP 工艺 和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体 管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进制程节点发 展至 7nm 以下时,芯片制造过程中 CMP 的应用在最初的氧化硅 CMP 和钨 CMP 基础上 新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需 的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升 级需求。根据 TECHCET,全球 CMP 材料市场规模在 2021 年达到超过 30 亿美金,其中抛光垫市 场规模约 11.3 亿美金,抛光液市场规模 14.3 亿美金,预计 CMP 材料市场 2022 年同比 增长 9%至 33 亿美金。目前市场上抛光垫目前主要被陶氏化学公司所垄断,市场份额达到 90%左右,其他供 应商还包括日本东丽、3M、台湾三方化学、卡博特等公司,合计份额在 10%左右。抛 光液方面,目前主要的供应商包括日本 Fujimi、日本 HinomotoKenmazai,美国卡博特、 杜邦、Rodel、Eka、韩国 ACE 等公司,占据全球 90%以上的市场份额,国内这一市场 主要依赖进口,国内仅有部分企业可以生产,但也体现了国内逐步的技术突破,以及进 口替代市场的巨大。鼎龙股份——国内 CMP 抛光垫龙头,多产品维度突破。鼎龙股份成立于 2000 年,二 十余年来始终重视技术整合和技术平台,已打造七大技术平台。2013 年全面完整开启 彩色聚合碳粉全球布局。2013 年起延伸布局拓展至集成电路行业,着重研发 CMP 抛光 垫。当前公司在 CMP 抛光垫领域已经实现全制程、全节点覆盖,在 2021 年已成为部分 客户一供,国内领先优势显著,未来随着客户产能持续释放,及公司份额提升,抛光垫 业务有望迎来持续放量增长。CMP 抛光液方面,公司氧化层抛光液产品在 2022 年取得 小量订单,Al 制程抛光液进入客户吨级采购阶段,客户端取得突破性进展;氧化层抛光 液获得国内主流晶圆厂客户 20 吨订单。此外公司实现自主制备抛光液核心原材料研磨 粒子,一方面打破海外垄断,同时提升了盈利能力。清洗液方面公司已取得 Cu 制程CMP 清洗液小量订单。柔显及其他材料蓄势待发,打开新增长极。YPI 方面,公司 2021 年完成客户验证并实 现近千万营收,2022 年跟随下游 AMOLED 厂稼动率提升,公司 YPI 或进一步放量。公 司预计 2025 年 PSPI 国内市场规模有望达到 35 亿元,TFE-INK 接近 10 亿元,当前行业 被海外垄断背景下,鼎龙 PSPI、TFE-INK 产品中试结束且客户验证良好。我们认为公司 在第一成长线 CMP Pad 逐步在国内外同步发力期间,其他电子材料产品有望逐步推动 公司天花板及未来空间的发展,打开第二/三增长曲线。 安集科技成立于 2006 年 2 月,坚持自主创新,长期致力于为集成电路行业提供汇集创 新驱动、高性能及成本优势的产品和技术解决方案。当前公司成功打破了国外厂商对集 成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供 应能力。公司主营业务为关键半导体材料的研发和产业化,目前产品包括不同系列的化 学机械抛光液和光刻胶去除剂,主要应用于集成电路制造和先进封装领域。研磨液多产品得以突破,紧跟行业多面拓展。当前公司拳头产品铜(含阻挡层)已经在多 方客户实现突破,14nm 稳定量产的同时,10-7nm 逐步突破,并且突破逻辑、存储两大 领域。此外公司钨研磨液已在长存得到应用,也在积极配合客户实现二氧化铈的验证。在 光刻胶去除剂方面,公司光刻胶去除剂已量产并且持续扩大应用;28nm 技术节点后段硬 掩模工艺光刻胶去除剂的验证工作正在按计划进行,以加快实现国产化供应;14nm 技术 节点后段蚀刻残留物去除剂的研究仍在按计划进行。 国内需求巨大,客户+品类同步拓展,渗透率提升带动营收利润天花板激增。随着内资晶 圆厂扩产加速,制程提高,对于抛光液的用量得到了明显的提升。安集科技从铜向钨,钨 向氧化物方向的品类推展将近一步实现国产替代,完成对自身潜力的进一步提高。(报告来源:未来智库)十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升12 英寸硅片主要用于 65nm 以下节点,也是台积电千亿资本开支主要投资领域。12英 寸硅片主要用于制程节点较为先进的产品,根据 SUMCO 估计,目前 12 英寸硅片需求 中接近 80%都是用于 65nm以下较为先进的制程。从硅片的直接下游晶圆厂来看,台积 电 2021 年 4 月宣布的三年千亿美金资本开支,其中 2021 年的超 300 亿美金资本开支 中,80%用于先进制程,包括 3/5/7nm;2022 年 CaPex 指引 400-440 亿美金,其中 70- 80%用于先进制程,包括 2/3/5/7nm。中芯国际表示,公司 2022 年 12 英寸产能增长将 远远超过 2021 年。联电 2021 年起的三年计划投资 1500 亿新台币(约合 54.1 亿美元) 用于台湾省 12A 厂 P5、P6 的扩产。华虹三座 8 英寸厂 2021 年全年满产,无锡 12 英寸 厂产能持续爬坡,2022 年月产能预计由年初的 6.5 万片提升至年底 9.5 万片。逻辑芯片和存储是 12 英寸需求增长主要驱动力。根据 SUMCO 估计,按终端应用领域 来看,智能手机和数据中心是 12 英寸硅片需求的两个最大来源,同时也是到 2025 年 12 英寸硅片需求绝对值增长最大的部分。5G、远程办公等数字化需求使得全球产生的 数据量发生爆炸式增长,从而推动了智能手机和数据中心对存储和逻辑芯片需求的增长。物联网、汽车电动化等趋势带动 8 英寸硅片需求增长。按照产品来分,全球 8 英寸晶圆 代工产能中 CIS 占比最高,达到 22%,模拟芯片及功率分立器件分列二、三位,占比 分别为 19%、16%,此外显示驱动芯片(占比 11%)、MCU(占比 10%)与指纹识别 传感器(占比 6%)同样占据重要地位。基于上述芯片的需求预测,在不考虑主要产品 大规模转移至 12 英寸平台的假设下,预计 2021-2025 年全球 8 英寸代工需求有望实现 3.5%的年复合增长率,主要基于:1)物联网快速渗透,人工智能装置的数量提升带动 指纹识别产品、电源芯片、智能设备 MCN 等需求快速增长;2)汽车电动化及 ADAS 的 快速发展带动 CIS、功率器件等需求提升;3)疫情后汽车/工业/消费电子等终端市场需 求复苏。中国大陆厂商是 8 英寸晶圆扩产主力军。SEMI 预计 2020~2024 年全球 8 英寸晶圆厂的 产能将提高 17%,达到每月 660 万个晶圆的历史新高,并预计到 2021 年年底,中国大 陆 8 英寸的产能将居全球领先地位,市场份额将达到 18%。从集微网统计的国内晶圆 厂产能及扩产计划来看,国内 8 英寸 2020 年产能约 74 万片/月,总计划产能达到 135 万片/月。行业新增产能有限,下游硅片库存连续下降。从当前全球半导体硅片实际供应量来看, SUMCO 估计 2021Q4 全球 8 英寸硅片月出货量约 600 万片,12 英寸硅片月出货量接近 800 万片。在下游需求非常旺盛的情况下,硅片出货量在 2021 年三四季度呈现持平的 情况,也反映了全球硅片产量当前几乎达到了顶点,少有新增产能贡献。而从客户 12 英寸硅片库存来看,客户每月的硅片投入量均大于购买量,2021 年硅片库存已经呈现 连续 15 个月下降。SUMCO 估计 2022Q1 客户库存天数已经下降到仅 1 个月。SUMCO:所有产能已被长协订单订满至 2026 年SUMCO 2021Q4 报继续靓丽。SUMCO 在 2021Q4 实现收入 912 亿日元,同比增长 25.7%,环比增长1.9%,超过公司此前预期的 885亿营收。2021Q4毛利率达到 25.2%, 净利率 15.5%。展望 2022Q1,公司预计营收达到 990 亿日元,环比提升 8.6%。公司 认为 2021Q4 和 2022Q1 的营收增长主要来源于价格提升,2021 年全年公司 12 英寸硅 片价格提升了约 10%,由于公司 12 英寸几乎全部是长协客户,故 2021 年公司 12 英寸 价格的提升主要来源于原有长协订单价格的提升而非现货价格提升。2021Q4:下游逻辑和存储对 300mm 硅片需求仍然非常旺盛,供应紧张持续;200mm 及以下规格的硅片同样由于汽车电子、消费及工业需求,行业同样供不应求,这也与我 们多次强调的物联网、工业与汽车是第四次硅含量提升核心应用相互验证。价格方面, 公司已有长协订单价格不变,12 英寸和 8 英寸产品现货价格持续走高。 2022Q1:12 英寸及 8 英寸硅片供需失衡延续。价格方面,12 英寸 Greenfield 的长 协订单 2022 年就已经开始签订。不同客户价格有差异,但总体来讲长协订单的价格设 计是在未来几年阶梯式上升的,并预计在 2024 年达到价格高点,2025-2026 年持平。 公司在 8 寸产能方面没有更多投资,因此价格预计跟随市场价格提升。台塑胜高方面,公司计划扩产量接近 SUMCO 扩划扩产产能的一半,此前台塑胜高的接 近 100%的订单都来自于现货市场,未来(包括扩产后)公司长协订单将占 50%。 长期来看,SUMCO 2026 年之前的全部产能扩张及新增产能建设都已经被长协订单订 完!公司 2022-2023 年到期的 12 英寸长协订单客户,几乎都在 2022 年会重新跟客户 签订长约。信越化学:硅片产能持续满产,电子材料业务稳健增长信越 CY21Q4 财报显示其电子材料业务营收 15.9 亿美金,同比增长 11.7%,营业利润 5.7 亿美金,同比增长 12.8%,营业利润率达到 35.9%。信越化学认为从宏观环境,包 括地缘政治因素,以及近期全球芯片紧缺使得下游客户库存水平未来将高于目前的标准。 此外公司认为 2022 年有望成为元宇宙投资元年,下游高速通信、智能汽车以及碳中和 等领域将带来电子终端设备的快速增长。 硅片扩产方面,公司遵循根据客户订单进行逐步扩产的原则,目前公司产能持续满产, 但仍不能满足客户需求。2022 和 2023 年的原有设施扩产比较有限,新厂建设只能从 2024 年才能开始贡献产能,因为公司认为 300mm 硅片供不应求将持续一段时间。短期 内产能无法快速提升,设备供应商产能同样受限。2022 年有部分客户在提价的同时获 得更多产能,2023 年长协到期的合同比 2022 年少,价格会跟产量同步增长,2024 年 由于新产线的开出,公司预计价格会更上一个台阶。环球晶圆:2022 年 ASP 预计进一步提升,2024 年前产能均已售罄2021Q4 及全年营收创历史新高。得益于产能利用率提升、ASP 增加以及产品结构优化, 公司 2021Q4实现营收 158 亿新台币,同比增长 11.4%,环比连续九个季度增长。2021 年全年营收 611 亿新台币,同比增长 10.4%。2021Q4 单季度毛利率创历史新高,达到 41.3%,全年毛利率 38.1%,达到历史第二高水平。公司所有尺寸、所有型号的产能自 2021 年中开始都持续满载,新增订单价格上升,截至 2021 年底公司预收款达到 286 亿 新台币(约 10 亿美金),单四季度新增预收款 62 亿新台币。公司看到 2022 年预收款仍 在持续增加,并预计 2022 年仍将保持产能满载,ASP 增加以及产品结构优化。2022 年 前两个月,公司分别营收 52.16/53.56 亿新台币,同比增长 14.67%/16.15%。下游需求旺盛,36 亿美金资本开支全球扩产。公司计划总资本开支 36 亿美金用于新建 产能及原有设施扩产。欧洲:意大利子公司 MEMC SPA,在原来的晶体生长模块规划基 础上,增加新的 12 英寸抛光和外延片硅片模块,共同构成公司在意大利的第一条完整 的 12 英寸硅片产线,预计 2023Q2 开始运行。欧洲除意大利外,丹麦产线也将进行扩 产;美国:扩产 300mm SOI 及 SiC 外延片;日本:扩产 300mm 退火片以及外延片; 韩国:建设新硅片厂;中国台湾:扩产高端 300mm 外延片,并会大规模扩产 SiC 和 GaN 产能。 2024 年前产能均已售罄。公司 2022、2023、2024 年产能已基本售罄,现有设施的扩 产几乎都被长协订单覆盖。展望 2022 年,ASP 预计较 2021 年进一步提升,从二季度开 始还会有新的长协订单增加。由于公司收购 Siltronic 的计划于今年 2 月才被德国政府否 决,因此与客户在新建产能(Greenfield)的长协订单仍在商定中,目前新厂寻址暂时 未定,仍需几个月时间确定,但与设备等供应商的协商已经在同步进行中。2021 年全球硅片出货面积及营收双创新高。根据 SEMI 统计,全球整体来看,2021 年 半导体硅片营收规模达到 126 亿美元,出货面积达到 14,165 百万平方英尺。硅片已成 为数字化转型及新技术发展的重要承载体,受下游汽车、工业、物联网等旺盛需求驱动, SEMI 预计 2024 年全球出货面积增长到 16,037 百万平方英尺。复盘半导体硅片价格,2009-2011 年在后金融危机影响下,全球主要硅片制造商取消扩 产计划导致供给端收缩,因此硅片价格呈小幅上升趋势。但 2012 年开始,硅片价格开 始不断下滑,硅片价格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平 方英寸,主要由于制造商扩产计划顺利实施使得硅片市场产能过剩。在经历了六年的持 续下滑后,硅片价格在 2017 年重回上升通道,2017-2019 年硅片价格由 0.74 美元/平 方英寸上涨至 0.95 美元/平方英寸,主要由于新能源汽车等新兴市场快速发展、5G手机 的快速渗透带来半导体终端市场需求强劲,市场供需结构发生变化。我们认为,当前或再现 2016-2018 年上一轮全球半导体硅片需求和供给的“剪刀差”。 上一轮硅片供需失衡在 2016-2018 年,根据 SEMI 数据,彼时硅片单位面积价格上涨了 33.5%,半导体硅片厂商营收及盈利水平实现了快速提升。我们认为此轮行业供需失衡 有望再现上一轮硅片供需“剪刀差”带来的硅片涨价,从硅片龙头厂商近期营收及法说 会口径可以看出,信越化学、SUMCO、GlobalWafers 等营收及业绩预期强劲,当前新 增产能有限背景下,涨价是重要营收驱动因素。同时海外龙头新增产能均预计在 2023 年下半年才能陆续开始爬坡,行业长协订单比重增加,我们判断当前硅片行业供需失衡 将至少持续至 2023 年底。从中国台湾硅片进口数据也可以看到,上一轮“硅片剪刀差”2016-2018 年 12 英寸硅 片价格提升明显。本轮价格也有逐步提高的趋势,2021 年 12 月 ASP 较 2021 年 1 月提 升了5.1%,进入2022年价格提升更加明显,2022年2月ASP较2021年12月13.2%。 考虑到台积电目前主要晶圆厂均位于中国台湾,台积电作为全球晶圆代工龙头,具有较强的议价能力,因此全球来看硅片价格提升具有较高的确定性。十三、电子特气:需求空间大,拉开进口替代序幕电子特种气体是集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中 不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规 模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制 造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气 体市场规模将达到 230 亿元,2018-2024 年复合增速将达 11.2%。电子特气将为中国新 兴产业的发展注入新动力。电子特气按照用途可分为蚀刻及清洗气体、成膜气体、掺杂气体三大类。在半导体集成 电路中,电子气体主要应用于蚀刻、掺杂、CVD、清洗等。在晶圆制程中部分工艺涉及 气体刻蚀工艺的应用,主要涉及 CF4、NF3、HBr等;掺杂工艺即将杂质掺入特定的半导 体区域中以改变半导体的电学性质,需要用到三阶气体 B2H6、BF3以及五阶气体 PH3 、 AsH3 等;在硅片表面通过化学气相沉积成膜(CVD)工艺中,主要涉及 SiH4、SiCl4、 WF6等。 在显示面板产业中,在薄膜工序中需要通过化学气相沉积在玻璃基板上沉积薄膜,需要 使用 SiH4、PH3、NF3 、NH3 等。在干法蚀刻工艺中,需要在等离子气态氛围中选择性 腐蚀基材,需要用到 SF6、HCl、Cl2 等;在 LED 产业中,外延技术需要高纯电子特气包 括高纯砷烷、高纯磷烷、高纯氨气,HCl和Cl2常常用做蚀刻气;在太阳能光伏产业中, 晶体硅电池片生产中的扩散工艺需要用到 POCl3,减反射层等 PECVD 工艺需要用到 SiH4、 NH3,蚀刻需要用到 CF4。薄膜太阳能电池在沉积透明导电膜工序中需要用到 B2H6等。三氟化氮(NF3)是目前应用最广的电子特气,占全球电子气体产量约 50%。NF3 在卤 化氮中最稳定,是一种强氧化剂。在离子蚀刻时裂解为活性氟离子,氟离子对硅化合物、 钨化合物有优异的蚀刻速率和选择性。并且,三氟化氮在蚀刻时,蚀刻物表面不留任何 残留物,是良好的蚀刻、清洗剂。大量应用于半导体、液晶和薄膜太阳能电池生产工艺 中。两个主要因素推进了我国电子特气的需求高速增长。首先,近年来电子气体下游产业技 术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18 寸,制程 技术从 28nm 到 7nm;显示面板从 LCD 到刚性 OLED 再到柔性、可折叠 OLED 迭代;光 伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性 材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链 不断向亚洲、中国大陆地区转移,近年来以集成电路、显示面板为主的电子特气需求快 速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带 来了持续、强劲的拉动。海外龙头在大陆占八成以上份额,国产替代空间巨大。根据 SEMI 及前瞻产业研究院, 2010-2018 年我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规 模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。海外大型气体公 司占据了中国电子大陆特气 80%以上的市场份额,美国空气化工、德国林普、日本昭 和电工、大阳日酸、法国液化空气为份额最大的5家海外公司,其中CR3为75%左右, CR5 为 85%左右,国产替代空间巨大。凯美特气深耕气体行业三十余年,盈利水平居行业前列。凯美特气成立于 1991 年,以 石油化工尾气(废气)、火炬气为原料,生产和销售工业气体、医用气体、标准气体、 特种气体、混合气体、食品添加剂气体、电子化学品等气体产品。2018 年公司成立岳 阳凯美特电子特种稀有气体公司,布局电子气体,生产高纯度二氧化碳、氦气、氖气、 氩气、氟氩氖、氟氪氖等半导体、面板、航天、医疗等领域急需的超高纯气体和多元混 配气。产品生产线布局循序渐进,充分利用公司自有优势提升效益。岳阳电子特气项目于 2020 年 7 月正式投产,目前已生产出的合格产品有:99.99996%二氧化碳、99.9999% 氦气、99.999%氖气、99.9999%氩气、99.999%氪气、99.9995%氙气、99.997%一 氧化碳、99.9999%氮气、99.9999%氢气、氯化氢基准分子激光配气、氟基准分子激 光混配气、动态激光混配气,已获得生产许可的产能有氪[压缩的或液化的]11750 Nm³/年、氙[压缩的或液化的]900Nm³/年、氖[压缩的或液化的]68000Nm³/年等。 同时,随着宜章电子特气项目建设公司将增加高纯氯化氢、氟化氢、溴化氢、氘代系列 气体等,进一步完善公司产品体系。持续获得龙头客户认证,混配气有望开始放量。公司各类电子特气已通过或正进行在 下游全球各大龙头厂商处的认证,其中公司二氧化碳、氪、氙产品已于 2021 年 2 月通 过法国液化空气认证,公司用于 ExciStar 激光器的 193nm 激光混配气已于 2021 年 9 月 通过美国相干的设备测试,同时公司混配气产品在阿斯麦、大阳日酸等厂商的认证仍在 有序推进。参考公司氪、氙产品的认证与放量经历,公司混配气有望开始放量。(报告来源:未来智库)十四、湿电子化学品:内资龙头效应显著湿电子化学品,也叫超净高纯试剂,为微电子、光电子湿法工艺制程中使用的各种电子 化工材料。主要用于半导体、太阳能硅片、LED 和平板显示等电子元器件的清洗和蚀刻 等工艺环节。按用途主要分为通用化学品和功能性化学品,其中通用化学品以高纯溶剂 为主,例如氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸等;功能性化学品指通过复配手 段达到特殊功能、满足制造中特殊工艺需求的配方类或复配类化学品,主要包括显影液、 剥离液、清洗液、刻蚀液等。湿电子化学品目前广泛应用在半导体、平板显示、太阳能电池等多个领域,湿电子化学 品在半导体晶圆制程中应用于晶圆清洗、刻蚀、显影和洗涤去毛刺等工艺,在晶圆领域 制造和封测领域应用分布广。国际半导体材料和设备组织(SEMI)制定了 5 个超纯净 试剂的国际分类标准,应用领域的不同对超纯净试剂要求的等级也不同,半导体领域要 求的等级比平板显示和光伏太阳能电池领域的要求高,基本集中在 SEMI3、G4 的水平, 我国的超纯净试剂研发水平与国际水平上游差距,大多集中在 G2 的水平。全球的湿电子化学品市场大多被欧美和日本公司占据,其中欧美公司主要有 BASF、霍 尼韦尔、ATMI、杜邦、空气产品公司,营收合计占比 37%左右;日本公司主要有关东 化学、三菱化学、京都化工、住友化学、宇部兴产、森田化学等,营收合计占比 34% 左右;台湾地区和韩国公司主要有台湾东应化、台湾联士电子、鑫林科技、东友、东进 等,营收合计占比 17%左右。大陆企业主要有浙江凯圣、湖北兴福、上海新阳、苏州晶瑞、江化微、江阴润玛、杭州格仕达、贵州微顿品磷等,营收占全球市场 10%左右, 技术等级主要集中在 G2 以下仅有少部分企业达到 G4 以上标准。 在众多工艺化学品企业中,上海新阳已成为先进封装和传统封装行业所需电镀与清洗化 学品的主流供应商,其超纯电镀硫酸铜电镀液已成功进入中芯国际、海力士的 28nm 大 马士革工艺制程,成为 Baseline 产品,进入工业化量产阶段;湖北兴福电子材料有限公 司磷酸、浙江凯圣氟化学有限公司氢氟酸等也都在 8-12 英寸工艺认证中取得较好效果, 即将投入量产应用。十五、投资分析15.1 设备全球领先的晶圆代工厂将在 2021~2023 年之间进行大规模的半导体设备投资,当前 的行业热潮有望成为新一轮产业跃升的开端。根据 IC Insights,全球代工厂资本开支约 占半导体总体的 35%,头部代工厂 2022 年资本开支规划进一步提升。台积电 2021 年 CapEx 300 亿美金(用于 N3/N5/N7 的资本开支占 80%),预计 2022 年资本开支将提升 至 400-440 亿美金;联电 2021 年 CapEx 18 亿美金,预计 2022 年翻倍达到 36 亿美金 (其中 90%将用于 12 英寸晶圆);GlobalFoundries 于 2021 年 IPO 后资本开支大幅提 升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金,预计 2022 年超过 40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于 扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。2021 年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新 高。大陆设备市场在 2013 年之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内 晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位, 2021达到 296.2亿美元,同比增长 58%,占比 28.9%。展望 2022年,存储需求复苏, 韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、 TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。 国内国产化逐渐起航,从 0 到 1 的过程基本完成。北方华创刻蚀、沉积、炉管持续放 量;中微公司 CCP 打入 TSMC,ICP 加速放量;拓荆科技 PECVD 已广泛用于国内知名晶 圆厂 14nm 及以上制程产线;精测电子产品迭代加速,OCD、电子束进展超预期;华峰 测控订单饱满,新机台加速放量;Mattson(屹唐半导体)在去胶设备市占率全球第二。 盛美半导体、至纯科技清洗设备逐步放量。精测电子、上海睿励在测量领域突破国外垄 断。15.2 材料2021 年全球半导体材料市场规模创新高,中国大陆需求占比 18.6%。根据 SEMI,强 劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9% 达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239 亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜 环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超 过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对 较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。半导体材料供应受限,国产替代进程加速。从半导体材料方面来看,美国从原材料供 应方面进行了限制,这直接致使例如 CMP 材料及电子特气这类美国高市占率产品存在 的断供的可能性,进一步推动国产 CMP 及气体厂商的需求及国产替代化进度。随着半 导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非常大的 需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链逐步的 完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)精选报告来源:【未来智库】。未来智库 – 官方网站


本文出自快速备案,转载时请注明出处及相应链接。

本文永久链接: https://www.xiaosb.com/beian/47541/